码迷,mamicode.com
首页 > Web开发 > 详细

paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之output encoded style with registered outputs(Good style)

时间:2016-05-08 18:12:12      阅读:244      评论:0      收藏:0      [点我收藏+]

标签:

技术分享

 

技术分享

 

技术分享

 

把输出跟状态编码结合起来,即使可以省面积又是寄存器输出。但是没有讲解如何实现这种高效的编码。

paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之output encoded style with registered outputs(Good style)

标签:

原文地址:http://www.cnblogs.com/chip/p/5470973.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!