码迷,mamicode.com
首页 > 数据库 > 详细

VCS仿真生成fsdb文件

时间:2016-10-22 21:25:54      阅读:1120      评论:0      收藏:0      [点我收藏+]

标签:rar   接口   tool   noip   init   lib   linux6   begin   library   

VCS仿真生成fsdb文件(Verilog)

一、环境

  • Linux 平台
  • VCS 64bit
  • Verdi3

二、开始仿真

1、 联合仿真环境配置

a.在testbench中加入如下语句:

1 initial begin
2     $fsdbDumpfile("tb.fsdb");
3     $fsdbDumpvars;
4 end

b.注意verdi接口库的路径(脚本中体现)

2、仿真脚本

 1 #!/bin/csh -f
 2 
 3 setenv NOVAS_HOME  /user/EDA_Tools/Synopsys/verdi3-I-201403-SP1
 4 setenv NOVAS_PLI ${NOVAS_HOME}/share/PLI/VCS/LINUX64
 5 setenv LD_LIBRARY_PATH $NOVAS_PLI
 6 
 7 setenv NOVAS  "${NOVAS_HOME}/share/PLI/VCS/LINUX64"
 8 
 9 setenv novas_args  "-P $NOVAS/novas.tab   $NOVAS/pli.a "
10 
11 vcs +v2k -sverilog +vcs+lic+wait -full64 -debug_pp 12        +warn=noCDNYI,noIPDW,noILLGO,noTMR,noPHNE,noIRIID-W 13        -Mupdate +notimingcheck +nospecify 14        ${novas_args}15        -f file.f 16 
17 ./simv 

当前目录下生成tb.fsdb文件

3、使用verdi查看波形

verdi -f file.f -ssf tb.fsdb &

 

VCS仿真生成fsdb文件

标签:rar   接口   tool   noip   init   lib   linux6   begin   library   

原文地址:http://www.cnblogs.com/OneFri/p/5988240.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!