码迷,mamicode.com
首页 > 编程语言 > 详细

c++工程重复编译与重复定义

时间:2017-06-09 10:05:57      阅读:160      评论:0      收藏:0      [点我收藏+]

标签:c++工程   开始   源文件   define   组合   自己   定义   需要   避免   

#ifndef #define #endif防止的是“重复编译”,而不是“重复定义”
重复编译可能造成重复定义,但重复定义的来源不只有重复编译

从代码变成可执行的程序,需要两个步骤
编译和链接
编译开始时,将所有#include头文件的地方替换成该头文件的代码
在编译阶段,编译所有源文件成为模块,各模块中的每个变量与函数都得到了属于自己的空间
在链接阶段,各个模块被组合到一起

#ifndef能够防止在编译阶段,一段代码被重复编译,并且由此可以避免一个变量被重复定义
但它不能防止链接阶段,各模块中都有叫某个名字的变量,于是报链接错误:变量重复定义

解决方法即不仅用#ifndef组合防止重复编译,而且将变量在源文件中定义,只在头文件里放extern声明
这样各模块在编译的时候,就知道“有这么个变量,但它的空间不在我这里”,链接的时候,这个变量虽然出现在所有包含这个头文件的模块里,但只有一个模块是它的真身所在

c++工程重复编译与重复定义

标签:c++工程   开始   源文件   define   组合   自己   定义   需要   避免   

原文地址:http://www.cnblogs.com/jdxn/p/6970228.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!