码迷,mamicode.com
首页 > 数据库 > 详细

[Hibernate]Access to DialectResolutionInfo cannot be null when 'hibernate.dialect' not set

时间:2017-06-22 21:55:11      阅读:321      评论:0      收藏:0      [点我收藏+]

标签:data-   color   print   throw   sys   使用   cfg.xml   nal   rds   

使用Hibernate官方文档上的下面代码进行測试时报出这个异常。

org.hibernate.HibernateException: Access to DialectResolutionInfo cannot be null when ‘hibernate.dialect‘ not set

package org.hibernate.tutorial.util;

import org.hibernate.SessionFactory;
import org.hibernate.boot.registry.StandardServiceRegistryBuilder;
import org.hibernate.cfg.Configuration;

public class HibernateUtil {

    private static final SessionFactory sessionFactory = buildSessionFactory();

    private static SessionFactory buildSessionFactory() {
        try {
            // Create the SessionFactory from hibernate.cfg.xml
            new Configuration().configure().buildSessionFactory(
			    new StandardServiceRegistryBuilder().build() );
        }
        catch (Throwable ex) {
            // Make sure you log the exception, as it might be swallowed
            System.err.println("Initial SessionFactory creation failed." + ex);
            throw new ExceptionInInitializerError(ex);
        }
    }

    public static SessionFactory getSessionFactory() {
        return sessionFactory;
    }

}


后来改动成旧版本号的例如以下代码异常消失:

Configuration cfg = new Configuration();
SessionFactory sf = cfg.configure().buildSessionFactory();
Session session = sf.openSession();

可是无參的buildSessionFactory方法在新版本号中已经不推荐使用了,最后找到了解决的方法:

Configuration cfg = new Configuration().configure();
sessionFactory = cfg.buildSessionFactory(new StandardServiceRegistryBuilder().applySettings(cfg.getProperties()).build());


亲測不会再出现这个异常了。



[Hibernate]Access to DialectResolutionInfo cannot be null when 'hibernate.dialect' not set

标签:data-   color   print   throw   sys   使用   cfg.xml   nal   rds   

原文地址:http://www.cnblogs.com/gavanwanggw/p/7067098.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!