码迷,mamicode.com
首页 > 系统相关 > 详细

Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD

时间:2015-07-17 11:39:34      阅读:253      评论:0      收藏:0      [点我收藏+]

标签:

 Synopsys Finesim(FSIM) vK-2015.06 Linux64 1DVD电路仿真
 Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD
 
 Synopsys IC Compiler II vK-2015.06 Linux64 1CD布局布线系统
 Synopsys IC Compiler vK-2015.06 Linux64 1DVD
IC Compiler II是一种全功能的布局布线系统,其核心是一种全新的多线程基础架构,能够处理例化单元数量大于5亿的设计。为了充分体现

其“可重新思考、可重新构建和可重新使用”的开发策略,IC Compiler II基于行业标准的输入和输出格式,以及熟悉的界面和工艺技术文

件,同时引进了创新设计存储功能。IC Compiler II从开发之初就关注全芯片级设计,部署新颖的设计规划功能,并使其性能提升了10倍,

内存占用则减少了5倍。这使设计人员能够快速地评估多种可选芯片布局方案,以确定设计实现的最佳起点。与这些芯片级功能互补的是单元

模块级的功能,它得到了一个新的global-analytical优化引擎、一个全新的时钟发生器以及独特的布线后优化算法功能所支持, 它们结合

在一起共同提高了面积、时序和功耗的结果质量。IC Compiler II还包含了IC Compiler中所采用的先进技术,例如共轭梯度布局器和ZRoute

布线器。与现有的解决方案相比,IC Compiler II使运行时间平均提高5倍,所需内存平均降低2倍。通过将运行时间加速、高超的芯片布局

、可实现的QoR以及高效的轻量级环境相结合,能够减少设计迭代次数,进一步提高设计产能。

■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□
用 诚信 打造 我们 的 服务,保证 给您 最好的 质量 和 信誉!

电话TEL:18980583122  客服 QQ:1140988741
Skype:buysoftware@qq.com   
邮件:hgrjw@qq.com               buysoftware@qq.com
请 按 Clrt+F 查找, 输入 具体 关键字 查询(不要全部输入)
■□■□■□■□■□■□■□■ 长 期 有 效 □■□■□■□■□■□■□■□
 
Synopsys PrimeTime StandAlone(PTS) vK-2015.06 Linux64 1CD静态时序分析软件
PrimeTime是Synopsys的静态时序分析软件,常
被用来分析大规模,同步,数字ASIC.PrimeTime适用于门级的电路设计,可以和
Synopsys公司的其它EDA软件非常好的结合在一起使用.
PrimeTime的特点和功能
作为专门的静态时序分析工具,PrimeTime可以为一个设计提供以下的时序分
析和设计检查:
建立和保持时间的检查(setup and hold checks)
时钟脉冲宽度的检查
时钟门的检查(clock-gating checks)
recovery and removal checks
unclocked registers
未约束的时序端点(unconstrained timing endpoints)
master-slave clock separation
multiple clocked registers
组合反馈回路(combinational feedback loops)
基于设计规则的检查,包括对最大电容,最大传输时间,最大扇出的检查
等.
PrimeTime具有下面的特点:
1)PrimeTime是可以独立运行的软件,它不需要逻辑综合过程中所必需的各
种数据结构,而且它对内存的要求相对比较低.
2)PrimeTime特别适用于规模较大的,SOC(system-on-chip)的设计.
■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□
用 诚信 打造 我们 的 服务,保证 给您 最好的 质量 和 信誉!

电话TEL:18980583122  客服 QQ:1140988741
Skype:buysoftware@qq.com   
邮件:hgrjw@qq.com               buysoftware@qq.com
请 按 Clrt+F 查找, 输入 具体 关键字 查询(不要全部输入)
■□■□■□■□■□■□■□■ 长 期 有 效 □■□■□■□■□■□■□■□

 

Synopsys STARRC vK-2015.06 Linux64 1CD寄生参数提取
使用starRC抽取门级spef文件,首先需要nxgrd文件,有的厂家只提供.itf文件,那么需要自己动手转换,如果机器上装了starRC工具,使用如下

命令:
grdgenxo ****.itf
不过这个过程需要比较长的时间 ,自动会生成****.nxtgrd,
有时候会遇见自己用的starRC的版本比厂家提供的nxtgrd比较老,那么就会报错,这是也需要自己转换,生成与之对应的版本.
下面就说说使用lef/def文件文件抽取:
1. 使用StarXtract -gui 用图形界面启动
2.选择setup--> Timing 菜单后会弹出Timing叶面
3.在Timing页面上,选择Lef/Def 按钮
4. 在LEF FILE 选项,添加芯片标准单元、工艺库、hard macro的lef文件,提醒的是工艺库lef文件必须放在最前面。
5.在TOP DEF FILE中需要添加芯片的def, 其中def 文件是从encounter中导出,或者其他eda工具提供的def文件。
6.TCAD GRD FILE 这一项需要提供rule 文件XXX.nxtgrd文件
7. MAPPING FILE 选择相应的map文件,也就是DEF文件的工艺层要与XXX.nxtrgd的工艺层做个影射。
8. NETLIST FORMAT 中选择相应的SPEF文件格式做抽取输出网表文件
9.NETLIST FILE: 输入XXX.spef寄生网表文件,可以给PT做signoff分析,也可以导回P2R工具如encounter做时序分析。
10. 所有的红色区域的必选项都输入完后点击OK按钮

Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD

标签:

原文地址:http://www.cnblogs.com/Petro-SIM/p/4653639.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!