码迷,mamicode.com
首页 > 2017年11月04日 > 全部分享
Vmware Fusion CentOS6.7-64安装:
1.创建自定义虚拟机: 2.选择CentOS 64: 3.新建虚拟磁盘: ...
分类:系统相关   时间:2017-11-04 23:36:49    阅读次数:189
PHP 日期与时间
获取时间1.使用date函数格式化一个本地时间。//输出当前时间echodate(‘Y-m-dH:i:s‘);2.使用getdate函数获取时间戳的日期时间信息。//打印出详细的信息var_dump(getdate(time()));时间戳1.使用time函数获取当前时间戳//输出当前的时间戳echotime()2.使用mktime函数将日期时间转..
分类:Web程序   时间:2017-11-04 23:36:42    阅读次数:220
linux下oracle静默安装---亲测可以安装
1、安装包yuminstall-yyuminstallyuminstall-ybinutilsyuminstall-ycompat-libstdc++-33yuminstall-yelfutils-libelfyuminstall-yelfutils-libelf-develyuminstall-yexpatyuminstall-ygccyuminstall-ygcc-c++yuminstall-yglibcyuminstall-yglibc-commonyuminstall-yglibc-devel..
分类:数据库   时间:2017-11-04 23:36:34    阅读次数:211
javascript dom节点x
一,js 获取元素(父节点,子节点,兄弟节点) var test = document.getElementById("test"); var parent = test.parentNode; // 父节点 var chils = test.childNodes; // 全部子节点 var fir ...
分类:编程语言   时间:2017-11-04 23:36:27    阅读次数:222
BZOJ2134: 单选错位
2134: 单选错位 Description Input n很大,为了避免读入耗时太多,输入文件只有5个整数参数n, A, B, C, a1,由上交的程序产生数列a。下面给出pascal/C/C++的读入语句和产生序列的语句(默认从标准输入读入): // for pascal readln(n,A, ...
分类:其他好文   时间:2017-11-04 23:36:18    阅读次数:167
python标准库介绍——37 signal 模块详解
==signal 模块== 你可以使用 ``signal`` 模块配置你自己的信号处理器 (signal handler), 如 [Example 3-11 #eg-3-11] 所示. 当解释器收到某个信号时, 信号处理器会立即执行. ====Example 3-11. 使用 signal 模块==... ...
分类:编程语言   时间:2017-11-04 23:36:05    阅读次数:234
luogu P3861 8月月赛A
题目描述 给定一个整数 n,求将 n 分解为互不相同的不小于 2 的数的乘积的方案数。答案模 998244353。 输入输出格式 输入格式: 第一行一个整数 T,表示数据组数。 接下来 T 行,每行一个整数 n,意义如描述所述。 输出格式: 一共 TT 行,每行一个整数,表示答案。 输入输出样例 输 ...
分类:其他好文   时间:2017-11-04 23:35:54    阅读次数:154
HAProxy 之 实现https访问
1概述启用https将使得服务器的性能大大降低,如果后端的服务器压力较大或者性能不够,启用webserver上启用https将对服务器造成更大的压力,但是为了安全的考量,启用https将是非常关键的。所以,这里有个折中的方法,当用户到达haproxy这里的访问是在公网环境,通过https进行访..
分类:Web程序   时间:2017-11-04 23:35:43    阅读次数:184
day 4 bootstrap应用
1.使用步骤 ...
分类:其他好文   时间:2017-11-04 23:35:37    阅读次数:110
生产系统RMAN备份失败ORA-00245
一、环境描述AIX6.1Oracle11.2.0.3三节点RAC二、问题描述1.全库备份出错StartingControlFileandSPFILEAutobackupat04-NOV-201710:54:04releasedchannel:d1releasedchannel:d2releasedchannel:d3releasedchannel:d4RMAN-00571:================================================..
分类:其他好文   时间:2017-11-04 23:35:29    阅读次数:275
linux磁盘格式化、磁盘挂载、手动增加swap空间
磁盘格式化:查看文件系统格式,centos7的文件系统格式默认xfs(centos6以前都是ext版本格式按序排列)[root@aminglinux-01~]#cat/etc/filesystems//查看系统支持的文件系统格式 xfs ext4 ext3 ext2 nodevproc nodevdevpts iso9660 vfat hfs hfsplus *mount查看这个系统分区的..
分类:系统相关   时间:2017-11-04 23:35:21    阅读次数:349
jvm 原理浅谈
jvm 包含了堆栈区、方法区等存储区域、类装载子系统以及执行引擎。 jvm执行流程:编译(字节码)——》类装载(加入内存)——》类执行(分配内存执行) jvm 内存机制:堆内存(new出来的所有对象) 栈内存(基础数据类型、形参、new对象的引用) 静态方法区(方法代码、final常量、静态变量) ...
分类:其他好文   时间:2017-11-04 23:35:13    阅读次数:248
谷歌浏览器安装程序的下载地址,可安装原装的谷歌浏览器,可自动升级
https://dl.google.com/tag/s/appguid%3D%7B8A69D345-D564-463C-AFF1-A69D9E530F96%7D%26iid%3D%7B2B909AB8-E831-4BDB-DB9F-F0D7786870A1%7D%26lang%3Dzh-CN%26b ...
分类:其他好文   时间:2017-11-04 23:34:59    阅读次数:178
xml文件里 用js语句获取 当前时间
获取当前时间的代码:xml文件中<td><div align="center"><br/><strong>送检时间</strong></div></td><td><br/> <script type="text/javascript"> function getNowFormatDate() { v ...
分类:Web程序   时间:2017-11-04 23:34:50    阅读次数:486
实验——实现基于LNMP的电子商务平台架构
一、环境准备:centos系统、nginx源码、yum源(安装mysql与php-fpm)二、安装步骤:1、先确定一下防火墙都清空了2、安装nginx(推荐源码编译安装)3、yum方式安装mariadb、mariadb-server、php-mysql、php、php-fpm4、下载小米商城源码,解压缩到/data/web目录,修改权限为nobo..
分类:其他好文   时间:2017-11-04 23:34:43    阅读次数:202
Mac下给SD卡烧录树莓派系统
1.mac 磁盘工具 抹掉sd卡 为fat 2. df -h 看清sd卡号 3. 卸载sd卡 diskutil unmount /dev/disk2s2 Volume UNTITLED on disk2s2 unmounted 4.列出sd卡分区 diskutil list /dev/disk0 ( ...
分类:系统相关   时间:2017-11-04 23:34:36    阅读次数:406
实现微信公众号平台菜单功能
样式是拷贝微信的的。。。。 然后 js 是自己写的 有点乱 后台添加 ...
分类:微信   时间:2017-11-04 23:34:25    阅读次数:281
1660条   上一页 1 2 3 4 5 6 7 ... 98 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!