码迷,mamicode.com
首页 >  
搜索关键字:fpga sdram    ( 1706个结果
Xilinx FPGA控制器的Everspin STT-DDR4设计指南
为了使设计人员能够快速集成ST-DDR4支持,该过程从Xilinx Vivado开发环境中生成的现有8Gb DDR4 SDRAM-2666存储器接口生成器(MIG)开始
分类:其他好文   时间:2020-01-21 09:26:06    阅读次数:78
FPGA随手记03
1 module flow_led( 2 input sys_clk , //系统时钟,外部时钟50M 3 input sys_rst_n, //系统复位,低电平有效 4 5 output reg [3:0] led //4个LED灯 6 ); 7 8 //reg define 9 reg [23: ...
分类:其他好文   时间:2020-01-18 14:58:00    阅读次数:108
STM32与FPGA进行SPI通信
一、器件 32单片机:STM32F407ZG FPGA :EP4CE6E22C8N 二、通信方式 STM32作为主机(软件); FPGA作为从机; SPI通信方式为0; 三、STM32源代码 1 #include "delay.h" 2 #include "stm32f4xx.h" 3 4 #ifn ...
分类:其他好文   时间:2020-01-18 01:23:16    阅读次数:129
zynq板卡学习资料:基于zynq XC7Z100 FMC接口通用计算平台367
基于zynq XC7Z100 FMC接口通用计算平台 一、板卡概述 本板卡基于Xilinx公司的FPGA XC7Z100 FFG 9000 芯片, 该平台为设计和验证应用程序提供了一个完整的开发平台。该平台使设计师能够更加简单进行高性能的原型设计,并且通过FMC HPC扩展槽提供可扩展性和满足客户定 ...
分类:其他好文   时间:2020-01-17 17:29:05    阅读次数:130
FPGA V7卡学习资料:VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡270
VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡 一、板卡概述 本板卡基于Xilinx公司的FPGA XC7VX690T-FFG1761 芯片,支持PCIeX8、64bit DDR3容量2GByte,HPC的FMC连接器, ...
分类:其他好文   时间:2020-01-17 16:20:01    阅读次数:123
干货分享,FPGA硬件系统的设计技巧
PGA的硬件设计不同于DSP和ARM系统,比较灵活和自由。只要设计好专用管脚的电路,通用I/O的连接可以自己定义。因此,FPGA的电路设计中会有一些特殊的技巧可以参考。 1. FPGA管脚兼容性设计 FPGA在芯片选项时要尽量选择兼容性好的封装。那么,在硬件电路设计时,就要考虑如何兼容多种芯片的问题 ...
分类:其他好文   时间:2020-01-12 20:05:49    阅读次数:98
FPGA基本语法 begin end ,fork join,disable 用法
块语句是指将两条或者两条以上的语句组合在一起,使其在格式上更像一条语句。块语句分为两种: 1)用begin_end语句,通常用来标识顺序执行的语句,用它标识的块称作顺序块; 2)用fork_join语句,通常用来标识并行执行的语句,用它标识的块称作并行块。 A)顺序块 begin 语句1; 语句2; ...
分类:其他好文   时间:2020-01-11 14:46:57    阅读次数:152
FPGA开拓者学习 蜂鸣器实验 难度易
恢复内容开始 恢复内容开始 蜂鸣器分无源和有源蜂鸣器 信号名 FPGA管脚 CLK E1 RESET M1 KEY0 E16 BEEP D12 一、按键消抖 在按键按下时会产生延时 因此我们需要进行消抖,像在51单片机中我们是通过延时函数进行消抖,其两者的原理也大致相同 产生20ms 的方法 二、顶 ...
分类:其他好文   时间:2020-01-09 19:05:45    阅读次数:111
FPGA随手记02
两种赋值语句: 阻塞 赋值 “ = ” 非阻塞赋值 “〈= ” 阻塞 赋值语句必须等到当前的赋值语句执行完毕才能执行,非阻塞赋值当前的赋值语句不会阻断其后的语句,非阻塞赋值操作只能用于对寄存器类型变量进行赋值, 因此只能用在"initial"块和"always"块等过程块中。非阻塞赋值不允许用于连续 ...
分类:其他好文   时间:2020-01-07 20:08:05    阅读次数:96
FPGA基础入门程序代码
1 module flow_led( 2 input sys_clk , //系统时钟,外部时钟50M 3 input sys_rst_n, //系统复位,低电平有效 4 5 output reg [3:0] led //4个LED灯 6 ); 7 8 //reg define 9 reg [23: ...
分类:其他好文   时间:2020-01-05 18:32:17    阅读次数:155
1706条   上一页 1 ... 11 12 13 14 15 ... 171 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!