码迷,mamicode.com
首页 >  
搜索关键字:Zedboard    ( 79个结果
Zedboard(二)使用Vivado+SDK开发嵌入式应用程序——实例一
本次介绍用Vivado构建Zedboard开发板的硬件平台+SDK开发应用程序(Zedboard裸机开发) ...
分类:数据库   时间:2018-01-18 16:56:37    阅读次数:3703
zedboard学习第一篇(未完成)
1. 刚开始学习使用,不知道从哪里开始,手上的资料也很乱,至于这个板子需要学什么也不清楚。 2. 第一个工程就从helloworld开始吧 Zed板上的Zynq是一个PS(processing system, 双核A9 + 存储管理 + 外设)+ PL(programable Logic) 结构,如 ...
分类:数据库   时间:2018-01-04 00:34:52    阅读次数:214
[原创]基于Zynq Linux环境搭建(二)
在此篇,我们编译UBOOT 解压: 在解压过程中出现下述问题 原因:源码包不能放置在共享文件夹中,将源码包拷贝至linux文件系统上。 clean 修改boards.cfg,添加zynq_zybo,在include/configs增加zybo.h等配置文件,zedboard和zybo的PS时钟不一样 ...
分类:系统相关   时间:2018-01-03 11:46:34    阅读次数:203
ZedBoard前期准备工作
1. 资源下载 内核:https://github.com/Xilinx/linux-xlnx/releases uboot:https://github.com/Xilinx/u-boot-xlnx/releases 确保内核和uboot版本一致。因为经实际不知死活测试,不同版本差异还不小。建议下 ...
分类:数据库   时间:2017-10-08 21:36:19    阅读次数:209
petalinux&zedboard(自定义IP学习笔记)
1、建立vivado工程; 2、生成.hdf文件; 3、启动petalinux source petalinux安装路径/settings.sh 4、建立petalinux工程 petalinux-create --type project --template zynq --name test 5 ...
分类:数据库   时间:2017-09-17 22:08:59    阅读次数:514
Chipscope 仿真VmodCAM IIC程序
Chipscope 仿真VmodCAM IIC程序: 目的:熟悉EDK中建立chipscope 注意:zedboard使用digilent USB下载时,chipscope不能和SDK同一时候使用。否则芯片会死机。要用仿真器烧敲代码。 1:搭建硬件平台 硬件平台例如以下所看到的:详细的EDK设计流程 ...
分类:其他好文   时间:2017-07-07 23:33:45    阅读次数:261
Zedboard VmodCAM PIN Constraint
自己画了一块FMC-VHDCI四层板,外接VmodCAM,接口定义例如以下 #CAMA PIN CONSTRACT NET "CAMA_D_I[7]" LOC = T17 | IOSTANDARD = LVCMOS33; NET "CAMA_D_I[6]" LOC = T16 | IOSTANDAR ...
分类:数据库   时间:2017-07-06 13:14:59    阅读次数:167
zedboard 流水灯
#include"xparameters.h"/* Peripheral parameters 外围的參数 */ #include"xgpio.h"/* GPIO data struct and APIs GPIO、结构,应用程序编程接口 */ #include"xil_printf.h" #inc ...
分类:数据库   时间:2017-05-14 16:11:38    阅读次数:219
zedboard中OLED源码
#include <stdio.h> #include "platform.h" #include "xil_types.h" #include "xgpio.h" #include "xparameters.h" #include "xgpiops.h" #include "xil_io.h" # ...
分类:数据库   时间:2017-05-03 13:21:54    阅读次数:194
ZYNQ-ZedBoard USB HOST问题二探
上一次讲到USB不启动问题是由与Vivado工程中的EMIO引脚未正确配置造成的,那么软件上又是如何使用这个引脚的呢? 首先,Xilinx提供的Linux 开发包中已经包含了gpio的驱动和sysfs进行配套,并在设备树文件中对OTG-RESETN进行了初始化配置和声明。 其次,在实际使用中,USB ...
分类:数据库   时间:2017-04-04 18:50:38    阅读次数:1289
79条   上一页 1 2 3 4 ... 8 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!