TCP/IP协议分层 TCP报文格式 序号Seq序号,占32位,用来标识从TCP源端向目的端发送的字节流,发起方发送数据时对此进行标记。 确认序号Ack序号,占32位,只有Ack标志位为1时,确认序号字段才有效,Ack = Seq + 1 。 标志位共有6个,即URG、ACK、PSH、RST、SYN ...
分类:
其他好文 时间:
2020-08-04 18:15:04
阅读次数:
68
异步FIFO的空满也是通过地址位扩展进行,这与同步FIFO是一致的,但异步FIFO不能通过计数器进行空满判断且转换成格雷码带来的问题又与同步FIFO的判断算法不一致,关于格雷码的判断空满,仔细下文。 异步FIFO框架结构: 顶层代码: module asyn_fifo(w_clk,rst_n,w_r ...
分类:
其他好文 时间:
2020-07-29 14:49:07
阅读次数:
78
引用:linux工具快速教程:https://linuxtools-rst.readthedocs.io/zh_CN/latest/index.html# 1、查看Linux系统版本 $uname -a $lsb_release -a 2、查看Unix系统版本:操作系统版本 $more /etc/r ...
分类:
其他好文 时间:
2020-07-28 13:54:39
阅读次数:
81
先暂存 1 module mul_add( 2 input sclk , 3 input s_rst_n , 4 5 //input start , 6 input [7:0] x , // 乘数 7 input [7:0] y , // 被乘数 8 9 output reg [15:0] resu ...
分类:
其他好文 时间:
2020-07-19 23:49:17
阅读次数:
75
1 module sync_fifo( 2 input sys_clk, 3 input sys_rst_n, 4 input [7:0] wr_data, 5 input wr_en, 6 input rd_en, 7 8 output reg [7:0] rd_data, 9 output re ...
分类:
其他好文 时间:
2020-07-16 12:23:06
阅读次数:
82
需求: 我们要把某个字符串依据分隔符号拆分不同的字段,该字符串包含多种不同的分隔符,例如: s = 'ab;cd|efg|hj,jkl|mn\tpq;rst,uvw\txyz' 其中<,>,<;>,<|>,<\t>都是分隔符号,如何处理? 思路: 1、连续使用str.split()方法,每次处理一种 ...
分类:
其他好文 时间:
2020-07-09 01:23:04
阅读次数:
85
1.源文件 `timescale 1ns / 1ps module first_verilog( input clk, input rst, output reg cycle_20ms ); reg [23:0] cnt_reg ; always @(posedge clk) begin if(rs ...
分类:
其他好文 时间:
2020-07-06 16:33:26
阅读次数:
90
实现的话主要是根据特征方程 module JK_FF( clk, rst_n, J, K, Q ); input clk; input rst_n; input J; input K; output reg Q; always@(posedge clk or negedge rst_n) begin ...
分类:
其他好文 时间:
2020-07-05 12:04:27
阅读次数:
107
tcpdump -i interface -nc 10 ether dst MAC 使用MAC地址进行抓包,加入ether修饰 win表示发送方窗口大小,ack213表示对序列号213的包进行响应Flags表示tcp的标志位信息 . ACK S SYN F FIN P PUSH R RST tcpd ...
分类:
其他好文 时间:
2020-07-04 16:48:32
阅读次数:
74
module divide_2(clk,rst,clk_out); input clk,rst;output clk_out; reg clk_out; always @(posedge clk or negedge rst) if(!rst) begin clk_out<=0; end else ...
分类:
其他好文 时间:
2020-06-03 15:31:59
阅读次数:
79