码迷,mamicode.com
首页 >  
搜索关键字:标志位    ( 822个结果
汇编语言从入门到精通-标志位的说明
16位标志寄存器——共用了9个标志位,它们主要用来反映CPU的状态和运算结果的特征。标志位的分布如下表所示。 OF DF IF TF SF ZF AF PF CF 32位标志寄存器——32位CPU也把标志寄存器扩展到32位,记为EFLAGS。它新增加了四个控制标志位,它们是:IOPL、NT、RF和V ...
分类:编程语言   时间:2018-10-03 00:29:05    阅读次数:306
TCP/IP协议的一个具体实现Socket
java 中Socket的用法 TCP/IP协议 两个不同的协议,放在一起说。IP协议是用来查找地址的,对应网际互连层;TCP协议是用来规范传输规则的,对应传输层。 TCP在传输之前会进行三次沟通(三次握手),传完数据断开的时候会进行四次沟通(四次挥手) 两个序号和三个标志位 seq(sequenc ...
分类:其他好文   时间:2018-10-02 22:10:51    阅读次数:156
深度优先探索与广度优先探索
遍历所有状态最好用dfs,求最短路用bfs,(无权值,都是一); 要注意满足状态与越界状态。(必要时设标志位) dfs <wiz_code_mirror> bool judge(){ int k = 0, p = 0, q = 0; for(int i = 0; i < n; i++){ if(b[ ...
分类:其他好文   时间:2018-09-30 23:21:34    阅读次数:336
ISR中断服务程序
__Interrupt 作用:执行紧急中断事件 要点: 1. 无参数 2. 无返回值 3. 短而高效,由中断置位标志位或发出信号由应用层去处理其他工作 4. 不应该有重入和性能上的问题,用puts而不是printf。 正确示例: void interrupt int60() { puts("This ...
分类:其他好文   时间:2018-09-23 11:28:48    阅读次数:206
[ARM] ARM指令集
ARM指令的格式和分类、ARM指令条件执行及标志位、ARM的各类指令 ...
分类:其他好文   时间:2018-09-23 00:46:58    阅读次数:201
Jvm(36),class文件结构----访问标志
access_flags中一共有32个标志位可以使用,当前只定义了其中的8个,没有使用到的标志位要求一律为0。 package org.fenixsoft.clazz; public class TestClass{ private int m; public int inc(){ return m... ...
分类:其他好文   时间:2018-09-22 22:24:57    阅读次数:252
单片机,struct ,union定义标志,节约RAM
单片机的RAM是非常少的,像新唐,STC,合泰等一些国产的51单片机,RAM 512 byte,1k,2k,非常常见, 有时候我们的串口接收一串数据,或AD连续采集,这些数据是不能放到 flash 里的,没办法,只能想法节约一些变量空间了. 标志位很多时候只有两种状态,就像bool型一样,真/假,这 ...
分类:其他好文   时间:2018-09-15 20:51:00    阅读次数:188
具有set-uid的应用含有竞态条件漏洞,利用方式。
0x00含有s标志位的含义beyes@debian:~$ls-lgetuid.exe-rwsr-xr-x1beyesbeyes5211Jun1010:45getuid.exebeyes@debian:~$chmodu+stuo.abeyes@debian:~$ls-ltuo.a-rwsr-xr-x1rootroot7567Jul814:53tuo.a这两种在执行时的区别:getuid()geteu
分类:其他好文   时间:2018-09-13 00:04:26    阅读次数:191
TCP/IP报文 三次握手 四次挥手
1、TCP报文格式 TCP/IP协议的详细信息参看《TCP/IP协议详解》三卷本。下面是TCP报文格式图:图1 TCP报文格式 上图中有几个字段需要重点介绍下: (1)序号:Seq序号,占32位,用来标识从TCP源端向目的端发送的字节流,发起方发送数据时对此进行标记。 (2)确认序号:Ack序号,占 ...
分类:其他好文   时间:2018-09-12 23:05:57    阅读次数:220
三次握手与四次挥手
涉及到的3个标志位: SYN:为1时表示发起新连接。 FIN:终止这一方向的连接,如client向server发送FIN,那么server将不会再接受请求,但是server还是能发送。 ACK:为1时表示确认序号有效。 2个重要的序号: seq:一方发送的随机生成的序列号。 ack:用于确认对方的序 ...
分类:其他好文   时间:2018-09-12 13:56:30    阅读次数:177
822条   上一页 1 ... 25 26 27 28 29 ... 83 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!