码迷,mamicode.com
首页 >  
搜索关键字:systemverilog    ( 70个结果
paper:synthesizable finite state machine design techniques using the new systemverilog 3.0 enhancements 之 standard verilog FSM conding styles(三段式)
Three always block style with registered outputs(Good style) ...
分类:系统相关   时间:2016-05-07 14:59:00    阅读次数:212
paper:synthesizable finite state machine design techniques using the new systemverilog 3.0 enhancements 之 standard verilog FSM conding styles(二段式)
1.Two always block style with combinational outputs(Good Style) 对应的代码如下: 2段式总结: (1)the combinational always block sensitivity list is sensitve to chan ...
分类:系统相关   时间:2016-05-07 13:35:49    阅读次数:293
(转)UVM内容梗概
1. 类: 面向对象基础传统的面向对象编程与systemverilog类的特点,类的定义,成员与方法,构造函数,对象的复制和深/浅拷贝,父类/子类关系,类的继承,作用域的规则,对象的句柄以及赋值,方法的扩展和重写,local/protected关键字,虚基类,虚方法,虚接口。 2. UVM基础 :U... ...
分类:其他好文   时间:2016-04-18 22:32:15    阅读次数:981
求解决!!!SystemVerilog于ModelSim在编译和执行
我们正在学习SV流程,样品执行书。。功能:函数返回数组。Code1:/*书上提供的样例。存在错误,不可执行function void init(ref int f[5], int start);//主要是函数定义时没有声明automatic属性 foreach(f) f= i + start;en....
分类:其他好文   时间:2015-10-10 21:30:28    阅读次数:217
第一讲:vcs simulation basic
要求: 1.complie a verilog/systemverilog design using vcs 2.simulate a verilog/systemverilog designvcs ===> c===>binary(编译之后有一个目录csrc生成)step1 : compile.....
分类:其他好文   时间:2015-09-03 14:07:16    阅读次数:225
近期计算机类精品图书强推重磅一览——互动出版网
1.《Verilog与SystemVerilog编程陷阱:如何避免101个常犯的编码错误》 纠错式学习,从“陷阱”中学习编程,加深对语言本身的理解。 逆向式学习,从错误中学习避免错误的方法,让读者写出更好的代码。 案例式学习,将101个“陷阱”分类汇编,以针对性案例引导读者掌握编程要点。 2.《Python 3面向对象编程》 全面介绍Python强...
分类:其他好文   时间:2015-07-30 17:14:08    阅读次数:162
UVM:10.4.2 使用单独的参数类
1.需要一种跨越寄存器的约束。要求2个field 的和大于100。只有上节第二种能实现: 2.由于这个约束对所有测试都适用,因此希望写在寄存器模型的constraint 里: 3.这个寄存器模型使自己手工创建的,没问题。但在IC 中,寄存器模型都是由一些脚步命令自动创建的。在验证平台中,用到寄存器的地方有3个: RTL systemverilog C语言 1)必须时刻保...
分类:其他好文   时间:2015-07-10 13:38:37    阅读次数:112
Verilog与SystemVerilog编程陷阱:如何避免101个常犯的编码错误
这篇是计算机类的优质预售推荐>>>>《Verilog与SystemVerilog编程陷阱:如何避免101个常犯的编码错误》 编辑推荐 纠错式学习,从“陷阱”中学习编程,加深对语言本身的理解。 逆向式学习,从错误中学习避免错误的方法,让读者写出更好的代码。 案例式学习,将101个“陷阱”分类汇编,以针对性案例引导读者掌握编程要点。 译者序 译者序   随着电子设计自...
分类:其他好文   时间:2015-07-08 19:04:41    阅读次数:150
UVM:9.3.2 UVM 对参数化类的支持
1.uvm 对参数化类的支持体现在factory机制上。uvm_object_params_utils 和 uvm_component_params_utils 用于参数化的object 和 component注册的宏。 2.config_db 可以用于传递virtual interface。systemverilog 支持参数化的interface: 3.config_db ...
分类:其他好文   时间:2015-07-08 16:42:23    阅读次数:280
求解决!!!SystemVerilog在ModelSim中的编译与运行
是在学习SV过程中,运行书上的例子,碰到的问题。 功能:函数返回数组。 Code1: /*书上提供的例子,存在错误,不可运行 function void init(ref int f[5], int start);//主要是函数定义时没有声明automatic属性 foreach(f) f = i + start; endfunction initial begin...
分类:其他好文   时间:2015-06-12 11:46:00    阅读次数:344
70条   上一页 1 ... 4 5 6 7 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!