码迷,mamicode.com
首页 > 其他好文 > 详细

在Quartus II里查看综合器生成的原理图

时间:2015-10-31 14:13:47      阅读:121      评论:0      收藏:0      [点我收藏+]

标签:

工具:Quartus II 9.1 web edition

步骤:

  1. 输入代码,选择Processing > start > Analysis & Elaboration
  2. Tools > Netlist viewer > RTL viewer

   以一个8位的2选1的多路选择器为例,如下图:

 

技术分享

 

在Quartus II里查看综合器生成的原理图

标签:

原文地址:http://www.cnblogs.com/pengdonglin137/p/4925347.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!