码迷,mamicode.com
首页 > 其他好文 > 详细

ultraedit高亮显示设置

时间:2015-12-01 22:55:01      阅读:218      评论:0      收藏:0      [点我收藏+]

标签:

ultraedit高亮显示设置

  • |
  • 浏览:2333
  • |
  • 更新:2014-02-20 23:05
  • 技术分享1
  • 技术分享2
  • 技术分享3
  • 技术分享4
  • 技术分享5
  • 技术分享6
  • 技术分享7
分步阅读
百度经验:jingyan.baidu.com

写代码的人对ultraedit软件一定不陌生,这个类文本编辑器的强大之处我就不多说,你用的时候就知道了。里面有个功能就是高亮程序中的关键字,方便你阅读和编写代码。但是很多人下载了新的配置高亮语法文件后并不知道怎么配置,在此,本人将经验列出,供大家参考。

本文中图片全部亲自截取。

百度经验:jingyan.baidu.com

工具/原料

  • windows xp,ultraedit

百度经验:jingyan.baidu.com

方法/步骤

  1. 1

    首先安装ultraedit软件,然后假设你想高亮verilog语言,那么你要到ultraedit官网下载verilog.uew文件,

  2. 2

    在wordfiles中有你需要的几乎任何编程语言的高亮配置文件,把你需要的进行下载

  3. 3

    下载之后,把它们放在你ultraedit安装目录wordfiles下面。

  4. 4

    启动你的ultraedit,选择高级-配置。

  5. 5

    在配置中选择编辑器显示-语法着色。把wordfiles的完整路径进行复制,勾选相应选择。此处要看清wordfiles完整路径,否则没效果。

  6. 6

    完成之后,从新打开ultraedit,随便选择一个verilog文件,可以看到高亮显示了。

  7. 7

    如果你能读懂uew文件,你可以对它进行编辑,高亮你想要高亮的语言。

ultraedit高亮显示设置

标签:

原文地址:http://www.cnblogs.com/qidaiymm/p/5011525.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!