码迷,mamicode.com
首页 > 其他好文 > 详细

verilog disable 用法 (易错!)

时间:2015-12-03 11:15:08      阅读:1545      评论:0      收藏:0      [点我收藏+]

标签:

disable语句可以退出任何循环,能够终止任何begin..end块的执行,用于仿真验证中。
例如
begin:one
for(i=1;i<5;i=i+1)
begin:two
if(a==0)
disable one; //从one这个begin..end 中跳出,终止了for
if(a==1)
disable two;//从two这个begin..end块中跳出,从本次循环中跳出
end
end
网上看到的下面这个例子,一个意思:
begin : Break
forever
begin : Continue
...
disable Continue; //
继续下一个迭代
...
disable Break; // 退出forever 循环
...
end // 继续
end //
终止

 

verilog disable 用法 (易错!)

标签:

原文地址:http://www.cnblogs.com/chip/p/5015250.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!