码迷,mamicode.com
首页 > 其他好文 > 详细

Xilinx的约束文件

时间:2016-04-24 23:06:45      阅读:204      评论:0      收藏:0      [点我收藏+]

标签:

 FPGA中有三种约束文件,分别是用户设计文件(.ucf文件),网表约束文件(.NCF文件)与物理约束文件(.PCF文件)。

  在设计阶段,需要硬件描述文件与UCF文件,经过综合后生成NCF文件,最后得到PCF文件。

 

  UCF 文件的语法:

 {NET|INST|PIN} "signal_name" Attribute;

 

  “signal_name”是指 所约束对象的名字,包含了对象所在层次的描述; “Attribute”为约束的具体描述;语句必须以分号“。

 

  抽空再记。

Xilinx的约束文件

标签:

原文地址:http://www.cnblogs.com/huamingshen/p/5428745.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!