码迷,mamicode.com
首页 > 其他好文 > 详细

lattice 与 modelsim 仿真 笔记

时间:2016-06-04 00:26:08      阅读:708      评论:0      收藏:0      [点我收藏+]

标签:

          对于 lattice  Diamond 与 modelsim 的联合仿真,我总结了一句话,那就是—— 难者不会,会者不难。  也许刚开始 觉得 摸不着 头脑,但是 一旦学会 感觉还是很简单和直观的。

         直接进入正题, 仿真第一步 : 建立仿真库。

         在正确安装了Diamond  和 modelsim  之后,就是先要建立自己的 lattice 仿真的库文件:

         1、打开 modelsim 的 安装目录,在其目录下 打开 modelsim.ini 的属性,去除其只读性。

               技术分享

               技术分享 

       2、在modelsim 安装目录下的合适位置建立新的文件夹,并命名(我的是以芯片的类型命名),作为库文件 的生成文件夹:

            技术分享

 

    3、进入modelsim 软件 内,打开 file --> change directory ... 来改变路径,将路径改到新建的文件夹处,为了将库文件直接生成在此文件夹下:

        技术分享

    技术分享

4、生成新的库,方法如下: 

    技术分享

    技术分享

   点击ok 之后,生成成功就会出现在library 中,如下图:

    技术分享

  5、接下来就是很重要的一步了: 编译库文件:

        编译之前记住两个文件的路径 : ECP3 和 pmi。

        如果使用verilog 语言,路径为:

                 技术分享

     如果使用VHDL 语言,路径为:

      技术分享

       记住之后,在modelsim 中 点击compile --> compile ... 准备编译库文件。

    技术分享

  先编译ECP3,Library: 选择ECP3库,查找范围就是上个路径的ECP3文件夹,然后全选里面的文件,在点击compile 等待文件库编译完成:

    技术分享

  出现下面的界面则是编译完成:

           技术分享  

   然后改变查找范围到 pmi 文件夹,同意全编译里面的文件:

         技术分享

   直到完成,出现下面的信息,然后点击上图中的done,退出,再点击保存下,关闭modelsim文件。

    技术分享

  6、退出之后,打开modelsim.ini文件,找到里面增加的一行,如下图:

            技术分享

    将其改为,你的库文件路径:

        技术分享

      然后保存,再关闭该文件,并将其只读选项勾选。

  7、打开modelsim ,可以看到库列表中自己建立的库可以使用了,那么就完成了第一步。

          技术分享

 

 

  仿真第二步; diamond 软件的设置和仿真操作:

           待续。。。

lattice 与 modelsim 仿真 笔记

标签:

原文地址:http://www.cnblogs.com/fhyfhy/p/5557855.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!