码迷,mamicode.com
首页 > 其他好文 > 详细

modelsim do文件仿真

时间:2016-06-29 19:05:27      阅读:270      评论:0      收藏:0      [点我收藏+]

标签:

1.编写sim.do文件

##### Quit the Simulation #####
quit -sim
 
##### Make work directory && Create the Project/Lib #####
if {[file exists work]} {
file delete -force
work vlib work
puts "Successfully Created work1 directory"
} else {
vlib work
puts "Successfully Created work directory"
}
 
##### Compile the verilog #####
vlog ../testbench/counter_tb.v
vlog ../prj/ip/counter.v
 
##### Start Simulation #####
vsim -t ps -novopt -L lpm -L altera_mf -L altera_primitives work.counter_tb
radix hex
add wave -position insertpoint sim:/counter_tb/counter0/*
run -all

2.modelsim_run.bat文件

modelsim -do sim.do

modelsim do文件仿真

标签:

原文地址:http://www.cnblogs.com/billyzh/p/5627854.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!