码迷,mamicode.com
首页 > 其他好文 > 详细

[Verilog] 读写文件

时间:2014-08-13 00:32:55      阅读:194      评论:0      收藏:0      [点我收藏+]

标签:style   blog   color   os   文件   div   log   ad   

对位宽为8的寄存器组rDATA[0:255]进行了初始化。

reg [7:0] rDATA;
initial
    begin
        $readmemh("sin.dat",rDATA);
    end

将rSR[3]写入文件。

reg [7:0] rSR[3];
integer fid;
initial 
    begin
        fid=$fopen("data_out.dat");
    end  
always @ (posedge rCLK)
    begin
        if(!rRST)
           $fdisplay(fid,"%h",rSR[3]);
    end

 

[Verilog] 读写文件,布布扣,bubuko.com

[Verilog] 读写文件

标签:style   blog   color   os   文件   div   log   ad   

原文地址:http://www.cnblogs.com/southernduck/p/3908502.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!