码迷,mamicode.com
首页 > 其他好文 > 详细

Xilinx FPGA开发环境vivado使用流程

时间:2017-05-10 19:57:49      阅读:569      评论:0      收藏:0      [点我收藏+]

标签:port   project   log   技术   运行   sig   font   play   设备   

Xilinx FPGA开发环境vivado使用流程

1.启动vivado 2016.1

技术分享 

2.选择Create New Project

技术分享 

3.指定工程名字和工程存放目录

 技术分享

4.选择RTL Project

技术分享

5.选择FPGA设备

技术分享

6.工程创建完成后

技术分享

7.开始编写verilog代码

    第一步:点击Add Sources按钮

技术分享 

    第二步:选择add or create design sources按钮,即添加设计文件

技术分享 

    第三步:选择create file

技术分享 

    文件新建完成后:

技术分享

    此时可以定义I/O端口,我们选择自己在程序中编写。

技术分享

    第三步:在编辑器中编写verilog程序

技术分享 

8.添加XDC管脚约束文件

    XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约束

        第一步:新建约束文件

技术分享

        第二步:创造约束文件

技术分享

技术分享

        第三步:编辑管脚约束文件

技术分享 

    其中,set_property PACKAGE_PIN “引脚编号” [get_ports “端口名称”]

             Set_property IOSTANDARD “电压” [get_ports “端口名称”]

9.编译

    第一步:运行Run Synthesis       综合

    第二步:运行Run Implementation 布局布线

    第三步:运行Generate Bitstream  生成bit文件

10.下载和调试

    运行Hardware Manager

Xilinx FPGA开发环境vivado使用流程

标签:port   project   log   技术   运行   sig   font   play   设备   

原文地址:http://www.cnblogs.com/chensimin1990/p/6837122.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!