码迷,mamicode.com
首页 > 其他好文 > 详细

modelsim和matlab联合使用

时间:2017-05-11 11:45:43      阅读:235      评论:0      收藏:0      [点我收藏+]

标签:文件名   txt   sed   ...   rmi   pre   models   read   class   

思想:两个软件的数据交互通过txt文本,可以通过verilog将Modelsim产生的数据写入txt文本,再在matlab下使用m语言进行读取。

1、verilog读取matlab产生的数据

1)matlab语法:(首先matlab产生了一个256点的正弦波)

 

 N = 256;
 n = 1:N;
 data = fix(128 + (2^7-1)*sin(2*pi*n/N));
 fid = fopen(sin.txt,w);
 fprintf(fid,%x\n,data);
 fclose(fid);

fix()函数是取整函数,与int()函数的区别是int()函数取整采用四舍五入;fix()函数是不进行四舍五入,只取整数部分。

fopen(‘filename‘,‘permission‘)函数是打开文件函数,若打开成功,返回值fid是+N,N是该文件的文件名代号,打开不成功则返回值是-1。

permission:r,w,r+(Read & Write)...

fclose(fid):close one open files,如果关闭成功return0,如果关闭失败return-1;

fclose(all):close all open files,如果关闭成功return0,如果关闭失败return-1;

2)verilog语法:

在verilog中定义一个256X8bit的存储器,通过$readmemh命令将文件中的数据读取出来到存储器中。

 reg[7:0] data_mem[255:0];
 intial begin
      $readmemh(‘sin.txt’,data_mem); 
      end  
 //将数据写到存储器之后就可以根据设计一次送到数据端口
 always @ (posedge sclk,negedge rst_n)
    if (!rst_n) begin 
       o_data <= 8d0;
       i <= d0;
   end 
   else begin 
       o_data <= data_mem[i];
       i <= i + 1b1;
    end 

2、matlab读取verilog仿真产生的数据

3)verilog语法

将仿真过程中的数据通过HDL语言描述写入txt文件

integer w_file;
initial w_file = $fopen(“data_out.txt”);
always @ (i)
begin
$fdisplay(w_file,”%h”,data_out);//自带换行符
if (i == 8’d255)
$stop;
end

4)matlab语法:

fid = fopen(‘data_out.txt’,’r’);
for i = 1:256
num(i) = fscanf(fid,’%x’);//读取16进制数
end
flose(fid);

这样就将txt中的数据读取到了num变量中,注意data_out文件中的数据必须要与i的值相同,不然就可能会出错。

5)$fdisplay、$fwrite、$fmonitor区别

$fdisplay:这个命令需要触发条件,才会把数据写入文件,就如上例的always@(i),当i变化时数据才会被写入,该函数每写完一次数据就会自动添加一个换行符,所以在最后一个数据写完之后还会添加一个换行符,最后就需要我们自己删掉,否则matlab会读取错误。

$fwrite:只有触发条件有变化才可以将数据写进文件,但是与$fdisplay区别就是每次写入数据不会自动添加换行符,需要我们自己添加。eg:always @ (i) $fwrite(w_file,”%h\n”,data_out);

$fmonitor: 这个命令基本与$fdisplay相同,只有触发条件发生变化才可以将数据写入文件,eg:initial $fmonitor(w_file,”%h”,data_out);

 

 

 

modelsim和matlab联合使用

标签:文件名   txt   sed   ...   rmi   pre   models   read   class   

原文地址:http://www.cnblogs.com/Lee-blog/p/6839682.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!