码迷,mamicode.com
首页 > 其他好文 > 详细

网易笔试题:找出指定区间数列中能被3整除的个数

时间:2018-03-27 22:27:27      阅读:890      评论:0      收藏:0      [点我收藏+]

标签:iostream   stream   mes   turn   ide   blog   网易   div   ring   

题目描述:给定一个数列:1,12,123,...,12345678910,1234567891011...,找出指定区间能被3整除的个数。

输入描述:输入两个数字l和r,代表数列的第l个数和第r个数

输入描述:输出区间内能被三整除的个数

例:
输入:2 5

输出:3

因为12,123,1234,12345中能被3整除的有3个。

思路:该题涉及的数字比较大,不能直接用整形或是长整型来存储数字,可以用字符串来存储数字,判定能否被3整除的方法就是看将数字各位的数相加得到的数能否被3整除。

代码如下:

#include<iostream>
#include<string>
using namespace std;

bool divide(string str) {
    int num = 0;
    for (int i = 0; i < str.length(); i++) {
        num += (str[i] - 0);
    }
    if (num % 3 == 0) {
        return true;
    }
    return false;
}

int main() {
    int l = 0, r = 0, count = 0;
    string num = "";
    cin >> l >> r;
    for (int i = 1; i <= l; i++) {
        num += to_string(i);
    }
    for (int i = l; i <= r; i++) {
        if (divide(num))
            count++;
        num += to_string(i + 1);
    }
    cout << count << endl;
    return 0;
}

 

网易笔试题:找出指定区间数列中能被3整除的个数

标签:iostream   stream   mes   turn   ide   blog   网易   div   ring   

原文地址:https://www.cnblogs.com/lxing722/p/8660559.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!