码迷,mamicode.com
首页 > 其他好文 > 详细

什么是异步复位同步释放

时间:2018-10-26 13:11:49      阅读:570      评论:0      收藏:0      [点我收藏+]

标签:alt   pre   reg   技术   sed   enter   原理图   style   代码   

什么是异步复位同步释放

1.电路原理图

技术分享图片

2.verilog代码描述

module reset_gen ( output rst_sync_n, input clk, rst_async_n);
reg rst_s1, rst_s2;
wire rst_sync_n ;

always @ (posedge clk, posedge rst_async_n)
    if (rst_async_n)
         begin 
            rst_s1 <= 1b0;
            rst_s2 <= 1b0;
        end
    else 
        begin
            rst_s1 <= 1b1;
            rst_s2 <= rst_s1;
        end

assign rst_sync_n = rst_s2; //注意这里的rst_sync_n才是我们真正对系统输出的复位信号

endmodule

什么是异步复位同步释放

标签:alt   pre   reg   技术   sed   enter   原理图   style   代码   

原文地址:https://www.cnblogs.com/chensimin1990/p/9855529.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!