码迷,mamicode.com
首页 > 其他好文 > 详细

vivado的VIO调试工具的使用

时间:2020-06-23 21:48:04      阅读:250      评论:0      收藏:0      [点我收藏+]

标签:印象   自动   信号   优点   基本   界面   其他   细节   打开   

vivado中的VIO调试工具的使用

1、实验原理

前面一篇介绍了ILA的独立测试,vivado中还有其他的FPGA测试工具。其中VIO就是个比较常用的工具。相对于ILA更多的关注波形,VIO则专注于输入和输出关系的描述。个人理解为VIO就是一个便携测试,可以根据输入测试输出。VIO提供按键仿真和LED仿真,相当于在数字电路中加入开关和显示灯。该工具的优点就是比ILA要简单,对于较少的信号可以快速找出信号是否符合设计。缺点是不能看到时序波形,无法对时序细节观察。所以如果只关注输出的最终状态,可以考虑使用VIO实现调试。

2、实验操作

VIO的操作还是和传统的ILA添加方式一样。现在IP核库中找到VIO,设置好输入输出及其对应的位宽。注意这里的输入输出是对VIO来说,设置开关的信号就是输出,设置显示的信号就是输入。然后在IP核的顶层找到顶层调用文件,根据端口命名加入到设计中。一般来说,这个还需要加入ILA才会有可以调用VIO的界面。如果不加ILA不知道会不会出现对应的界面,这里没有测试过。

以加入ILA界面为例:在生成bit流后,下载时需要两个文件,一个bit文件,一个ILA文件。自动弹出的ILA界面左侧有一个收缩的工具框,可以在里面找到VIO和一个温度检测的工具。可以都打开。

VIO的操作界面也是需要手动添加的。用+号就可以将想要调试的信号转到操作界面。通过拟合按键和LED,就可以实现仿真。这几个操作都可以在右键菜单中找到。

3、实验结果

这里简单的介绍一下VIO调试工具,方便以后使用。调试工具多用就会慢慢熟悉,不需要用过多的时间分析,除非你有写调试IP核的想法。主要对基本的操作有个印象。

vivado的VIO调试工具的使用

标签:印象   自动   信号   优点   基本   界面   其他   细节   打开   

原文地址:https://www.cnblogs.com/electricdream/p/13184169.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!