码迷,mamicode.com
首页 > 其他好文 > 详细

Perl在IC设计中的应用

时间:2014-12-16 19:04:43      阅读:207      评论:0      收藏:0      [点我收藏+]

标签:使用   sp   文件   数据   div   log   bs   代码   nbsp   

Perl和Tcl是ic设计中最常用的两种脚本语言,在我学习perl之前完全的不知道他们到底是干什么的。在这里先总结一下Perl的作用:

    1.用于生成Verilog代码
    在写verilog时,经常遇到一些规律性强,编写又比较麻烦的代码,而这些恰恰又是可重用性比较强的。比如总线模块、FIR滤波器、IIR滤波器,只要 滤波器阶数和参数定了编码都大致相似的模块,就比较适合用来自动生成实现他们功能的verilog代码。Perl的强项在于文本处理,对于verilog 代码的生成,perl的代码会比较简洁易写。
    2.用于扩充Verilog的语法
    有一些verilog没有的语法功能,可以使用perl来扩充。比如工程中某变量的bit数有变化,导致了门级描述中门的个数变化,就可以用perl来生成相应的verilog代码。
    3.用于验证中的数据处理
    验证中有些数据格式需要处理,还有些数据需要分析。比如用matlab生成的数据改写成verilog能识别的ROM格式,或是直接生成ROM的 verilog。还有Verilog验证后生成的数据格式变成下一级做数据分析软件能识别的格式。Perl还能直接对verilog验证所得数据进行数据 比对和分析。
    4.后端工具与前后级连接的格式处理
    如果说Tcl比较常用在后端的软件使用中,那么perl就大多数用在软件和软件之间粘合上。有一些前级的工具所得结果不能直接用于下级工具的输入,那他们之间的转换用perl是完全合适的。
    如上所述,基本上都用在文本格式的转换上。其实,perl的功能和C/C++是一样的,只是运行的方式不同,C等是编译之后生成exe文件,而perl是 需要perl的运行环境支撑,有点像运行语句编译一句的感觉,这就与matlab的原理有点相似。可perl与C相比更加灵活,在文本操作上的编码相当简便。

Perl在IC设计中的应用

标签:使用   sp   文件   数据   div   log   bs   代码   nbsp   

原文地址:http://www.cnblogs.com/blogernice/p/4167520.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!