码迷,mamicode.com
首页 > 其他好文 > 详细

verilog波形文件的使用

时间:2015-05-24 23:23:10      阅读:187      评论:0      收藏:0      [点我收藏+]

标签:

       大工程的仿真往往需要比较长的时间,仿真时直接查看信号有时却忘了添加。而保存波形文件能在仿真完成后查看波形,为之后的分析提供方便。

    在仿真的tb文件中加入

initial
    begin
        $dumpfile("mydumpfile.vcd");
        $dumpvars ;
    end

  于是生成vcd文件,此时可通过vcd2wlf转换为wlf格式,在modelsim中方便查看

  vcd2wlf  mydumpfile.vcd mydumpfile.wlf

  vsim -view mydumpfile.wlf

  即可显示保存的仿真

verilog波形文件的使用

标签:

原文地址:http://www.cnblogs.com/loshxy/p/4526733.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!