码迷,mamicode.com
首页 > 其他好文 > 详细

UVM:9.1.5 子类继承父类的callback 机制

时间:2015-07-08 11:03:49      阅读:157      评论:0      收藏:0      [点我收藏+]

标签:

1.假如一个公司有前后两代产品,新的验证平台与旧的大部分一致,只是要扩展my_driver,即需要从my_driver 中派生一个新的类new_driver。还要保证第一代测试用例在尽量不改动的前提下在新的通过。

1)由于第一个callback 在声明的时候指明了这个池子只能用于my_driver,指明才能让new_driver用呢?


2.这要子类继承父类的callback。new_driver:

技术分享

1)使用了uvm_set_super_type 宏,把子类和父类关联在一起。第一个是子类,第二个是父类。

2)在main_phase 中调用uvm_do_callbacks 宏时,第一个是my_driver,而不是new_driver。与在my_driver 中一样。


3.my_agent:

技术分享


版权声明:本文为博主原创文章,未经博主允许不得转载。

UVM:9.1.5 子类继承父类的callback 机制

标签:

原文地址:http://blog.csdn.net/tingtang13/article/details/46800041

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!