码迷,mamicode.com
首页 > 其他好文 > 详细

fpga中有符号数的计算

时间:2015-07-26 12:28:37      阅读:179      评论:0      收藏:0      [点我收藏+]

标签:

在fpga设计中,所有的算数运算符都是按照无符号数进行的。最近用FPGA做了有符号的计算,来记录一下

1.如果要完成有符号数计算,对于加、减操作通过补码处理即可用无符号加法完成。不过在计算的时候要考虑位数的限制,不管在做加法还是减法,结果的位数要比原来的数据多出一位,

这样计算的时候才不会出现量程的错误。

example1:

module signed_yz
(
input clk,rst_n,
input signed [7:0]data,
input signed [7:0]datb,
output signed [8:0]datc
);
assign datc=data+datb;

endmodule

仿真结果图 :

技术分享

 

2.对于乘法操作,无符号数直接采用“*”运算符;

有符号数运算可通过定义输出为 signed 来处理。通过“*”运算符完成有符号数的乘法运算。

example2:

module signed_yz
(
input clk,rst_n,
//unsigned data
input [7:0]udata,
input [7:0]udatb,
output [15:0]udatc,
//signed data
input signed [7:0]data,
input signed [7:0]datb,
output signed [15:0]datc

);

assign udatc=udata*udatb;
assign datc=data*datb;

endmodule

从其 RTL 结构图可以看到乘法器标注为:
“signed” ,为有符号数乘法器。

技术分享

 

仿真结果图 :

技术分享

      

     以上为FPGA中符号数的计算,记录一下自己的学习过程,同时也供大家学习。

 

fpga中有符号数的计算

标签:

原文地址:http://www.cnblogs.com/bokeyuan-dlam/p/4677392.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!