码迷,mamicode.com
首页 >  
搜索关键字:dds    ( 99个结果
makefile与动态链接库案例分析——动态库链接动态库
http://blog.csdn.net/huqinwei987/article/details/50517780 背景:效率考虑,要重用把服务器主备机方案,以库Libmdpha(高可用)的形式加进主工程dds(调度数据服务器)。 有源代码,打算直接编译Libmdpha.so.xxx,加入主工程dd ...
分类:其他好文   时间:2017-02-04 00:11:08    阅读次数:171
一个软件无线电的小练习题
软件无线电数据收发系统1:使用FPGA为核心器件,实现一套软件无线电数据收发系统。2、要求1. 基本要求1)数据发送端采用DDS为信号源,做FSK、ASK或PSK调制(任选一种),并使用DAC输出信号至天线,基带数据采用曼彻斯特编码;2)数据接收端采用ADC做直接载频采样或直接中频采样(IF Sam ...
分类:其他好文   时间:2016-11-16 03:00:01    阅读次数:185
FPGA寒假学习目标
学习FPGA逻辑设计课程 熟练使用ISE Quartus Modelsim掌握四种FPGA经典设计思想:流水线 乒乓操作 串并转换 数据同步调试经常的模块:PLL RAM ROM FIFO dds 任意奇偶分频 计数器 状态机 掌握测试文件编写方法常用接口协议逻辑开发:串口(rs232 rs485 ...
分类:其他好文   时间:2016-11-12 22:21:24    阅读次数:241
Quartus II mif 文件格式及rom如何输出负数
(1) ADDRESS_RADIX=DEC ; %设置地址基值(实际就是地址用什么进制的数表示) 可以设为BIN(二进制),OCT(八进制),DEC(十进制),HEX(十六进制),UNS(无符号数) 用verilog模拟DDS产生正弦波信号 http://www.cnblogs.com/christ ...
分类:其他好文   时间:2016-09-21 11:32:02    阅读次数:2080
【ShaderForge】溶解测试
已支持粒子颜色的所有控制 折射效果已支持Alpha的影响(Texture必须是tga或dds带通道贴图,PNG贴图不支持折射Alpha效果的影响,其他贴图支持任何格式)说明: SpecularColor 高光颜色(黑色是没有高光) Specular 高光强度 Golss 光泽度 Texture_St ...
分类:其他好文   时间:2016-08-22 10:46:20    阅读次数:159
FPGA学习笔记之DDS
大纲: DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,与传统的频率合成器相比,DDS具有低成本,高分辨率,低功耗,高分辨率,和快速转换时间等优点,广泛应用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 波形发生器就是一种数据信号发生器,在调 ...
分类:其他好文   时间:2016-08-21 18:32:59    阅读次数:392
DDS视图&Button控件
<Button android:id="@+id/btn1" android:layout_width="wrap_content" //包裹文字 android:layout_height="wrap_content" android:text="点我" /> ...
分类:其他好文   时间:2016-07-29 22:45:47    阅读次数:202
基于小脚丫DDS 调频 调幅 调相 切换波形 AD5601输出模拟波形
先讲讲里面的矩阵键盘,矩阵键盘列有下拉电阻,默认全为0000,默认行输入为1111,当有按键按下的时候,列输入会被拉高,这时控制行的输出做行扫描,电子琴用key_flag_r0电平作为使能,这里用key_flag消抖后与键值进行按位与,作为相应按键的判断条件。 module juzhen(input ...
分类:其他好文   时间:2016-07-06 20:02:49    阅读次数:268
CSS属性-1
CSS属性关于尺寸的width设置宽height设置高min-width:设置最小宽度max-width:设置最大宽度min-height:设置最小高度max-height:设置最大高度关于文字的font-family:微软雅黑;设置文字字体font-size:16px;设置文字大小font-weight:bold;设置文字加粗color:设置文字颜色font-style..
分类:Web程序   时间:2016-06-24 20:40:21    阅读次数:217
DDS---相位累加器、ROM查找表的FPGA实现
图1 DDS原理框图 首先谈一下DDS(直接数字式频率合成器)的原理,如图1所示。 由相位累加器、ROM查找表、高速DAC、低通滤波器(LPF)组成。 设频率控制字的宽度为 N bits,则频率控制字的取值范围:0~2^N。 相位累加器是一个计数器,宽度一般要超过N+1位(抽样定理),在参考时钟Fref 的上升沿,计数器自增一次,步长为频率控制字对应的值。 相位累加器的输出 作为 R0M查找表...
分类:其他好文   时间:2016-04-29 19:32:00    阅读次数:130
99条   上一页 1 ... 5 6 7 8 9 10 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!