码迷,mamicode.com
首页 > 2017年12月31日 > 全部分享
day10-04_多线程常用属性方法
一、需要了解的方法 Thread实例对象的方法 # isAlive(): 判断这个线程是否是存活的 # getName(): 获取线程名 # setName(): 设置线程名 #enumerate(): 查看活跃进程对象 #activeCount(): 查看活跃的线程数 二、current_thre ...
分类:编程语言   时间:2017-12-31 18:35:14    阅读次数:162
JaveScript流程控制(JS知识点归纳四)
01 流程控制 顺序结构: 程序的默认执行方式 条件判断语句:也称之为分支结构,选择结构:如果程序要执行的代码出现了多种情况需要使用 循环结构:当代码需要多次重复执行多次时,使用 02 条件判断语句 if语句 if语句条件中需要一个布尔类型的值,true表示成立,如果不是布尔类型的值,会进行隐式转换 ...
分类:Web程序   时间:2017-12-31 18:35:06    阅读次数:175
hdu2048
神、上帝以及老天爷 Time Limit: 2000/1000 MS (Java/Others) Memory Limit: 65536/32768 K (Java/Others)Total Submission(s): 45143 Accepted Submission(s): 18395 Pro ...
分类:其他好文   时间:2017-12-31 18:34:59    阅读次数:186
使用Newtonsoft将DataTable转Json
Newtonsoft提供的将DataTable转成Json: ...
分类:Web程序   时间:2017-12-31 18:20:41    阅读次数:215
Python中的三元运算
Python中的三元运算 三元运算又称三目运算。 B=1 If B==1: a=123 else : a=456 上面程序等价于: B=1 a=123 if B==1 else 456 如果条件满足则a=123,否则a=456 ...
分类:编程语言   时间:2017-12-31 18:20:34    阅读次数:120
thinkphp5 input坑
取值方式改了而已?a1=1&a2=2这种可以用input(get.) a1/1/a2/2 用input('a1')和input('a2') post方法当然是input('post.') 我觉得这样比之前合理 现在 input('get.id')只能获取到url.html?id=1这种形式的参数,/ ...
分类:Web程序   时间:2017-12-31 18:20:27    阅读次数:450
2017年那些事儿
今天是2017年的最后一天,此刻身处北京,窗外阳光暖人,坐在电脑前,梳理下自己的2017年,开始计划2018的事情。 初入职场 今年是迈入职场的第一年,从学校进入社会,由学生变为职场人士,起初是非常不习惯的,一是思维上转变,二是心态上转变。学生时期,很少会每周进行总结,以及对下周的安排;老师布置的作 ...
分类:其他好文   时间:2017-12-31 18:20:19    阅读次数:152
uefi +gpt 系统安装 和 传统legacy + mbr 的区别
uefi+gpt分区 系统安装 与 legacy+mbr的区别
分类:其他好文   时间:2017-12-31 18:20:12    阅读次数:192
【BZOJ 2753 滑雪与时间胶囊】
Description a180285非常喜欢滑雪。他来到一座雪山,这里分布着M条供滑行的轨道和N个轨道之间的交点(同时也是景点),而且每个景点都有一编号i(1<=i<=N)和一高度Hi。a180285能从景点i 滑到景点j 当且仅当存在一条i 和j 之间的边,且i 的高度不小于j。 与其他滑雪爱好 ...
分类:其他好文   时间:2017-12-31 18:20:01    阅读次数:182
python迭代器
python迭代器 / GitHub stylesheet for MarkdownPad (http://markdownpad.com) / / Author: Nicolas Hery http://nicolashery.com / / Version: b13fe65ca28d2e568c ...
分类:编程语言   时间:2017-12-31 18:19:53    阅读次数:143
漫画中国式项目管理摘录
2017年的最后一天,适合总结2017年的得失,展望2018年的美好。之前有幸拜读了蒋昕炜老师的《漫画中国式项目管理》,受益颇多。随着书籍内容的展开,一篇篇的小插画让人很是欣喜,很有趣、活泼生动的表现主题,将项目管理的晦涩知识形象生动的表现出来。什么是项目?通俗的讲,跟一帮有趣的人,做点有意义的事; ...
分类:其他好文   时间:2017-12-31 18:19:47    阅读次数:237
搭建SSM框架之SpringMVC
一、SpringWebMVC概述: SpringWebMVC简称SpringMVC SpringMVC就是Spring框架提供的一个模块,通过实现MVC模式来很好地将数据、业务与展现进行分离,SpringMVC框架的目的是要简化我们日常的Web开发。 二、SpringMVC框架的核心组件: 1.Di ...
分类:编程语言   时间:2017-12-31 18:19:37    阅读次数:227
V-5-4 配置Horizon View Server
使用域管理员帐号登录注意:某些浏览器无法打开Administrator,如果出现页面无法访问的现象,可以尝试还浏览器。添加许可证如下图是进入控制板的正常界面,选择产品许可证为Horizon添加许可证。3.添加vCenter选择服务器,点击添加,来添加vCenter输入vCenter Server的地址与帐号第二步输入Composer的地址与帐号。注意:即使Composer和vCenter是一起安装
分类:其他好文   时间:2017-12-31 18:19:28    阅读次数:190
字符画生成工具
注意 :以下工具均不直接支持中文/汉字。 Figlet 终端软件 :`$ sudo apt install figet` :`$ figet f big TaceyWong` 生成结果如下: 其中 是字体(font),可以在输入 之后进行 补全查看默认支持的字体 picascii.com 在线网页工 ...
分类:其他好文   时间:2017-12-31 18:15:09    阅读次数:215
命令行执行php脚本 中$argv和$argc
在实际工作中有可能会碰到需要在nginx命令行执行php脚本的时候,当然你可以去配置一个conf用外网访问。 在nginx命令行中 使用 就可以执行这个index.php脚本了,但是怎么传递参数呢?那就要用到$argv和$aegc了。不用开启什么设置 直接在脚本中使用,类似于http传值中的$_PO ...
分类:Web程序   时间:2017-12-31 18:15:01    阅读次数:198
hdu2047
阿牛的EOF牛肉串 Time Limit: 2000/1000 MS (Java/Others) Memory Limit: 65536/32768 K (Java/Others)Total Submission(s): 40244 Accepted Submission(s): 18907 Pro ...
分类:其他好文   时间:2017-12-31 18:14:51    阅读次数:129
hdu2049
不容易系列之(4)——考新郎 Time Limit: 2000/1000 MS (Java/Others) Memory Limit: 65536/32768 K (Java/Others)Total Submission(s): 41824 Accepted Submission(s): 1536 ...
分类:其他好文   时间:2017-12-31 18:13:20    阅读次数:157
879条   上一页 1 ... 15 16 17 18 19 20 21 ... 52 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!