码迷,mamicode.com
首页 >  
搜索关键字:发生器    ( 330个结果
Gym100851G Generators 思维 (鸽笼原理)
网址:https://codeforces.com/gym/100851 题意: 考虑如下线性同余发生器:$x=(a*x+b)mod$ $c$,给出$1e4$个线性同余发生器,在这些线性同余发生器生成的数的序列中每一个序列都任意挑一个数,使得它们的和最大并且不被$k$整除,输出和的值和各个位置,如果 ...
分类:其他好文   时间:2020-03-28 21:55:30    阅读次数:66
《痞子衡嵌入式半月刊》 第 2 期
痞子衡嵌入式半月刊: 第 2 期 这里分享嵌入式领域有用有趣的项目/工具以及一些热点新闻,农历年分二十四节气,希望在每个交节之日准时发布一期。 本期刊是开源项目(GitHub: "JayHeng/pzh mcu bi weekly" ),欢迎提交 issue,投稿或推荐你知道的嵌入式那些事儿。 上期 ...
分类:其他好文   时间:2020-02-18 16:24:53    阅读次数:71
H7-TOOL多功能开发工具/开源/烧录器/示波器/仿真器/逻辑分析仪
淘宝链接:链接 H7-TOOL的最终目标: 1、为单片机工程师提供一款实用的多功能开发调试工具。 2、相信很多人有带板子回家调试、或带板子出差调试的情况。因为产品问题是无法预知的,多半情况不可能带齐全套测试工具的。经常遇到手边缺万用表、缺示波器、缺串口线、缺逻辑分析仪而导致工作很难继续。 3、H7- ...
分类:其他好文   时间:2020-02-08 15:19:00    阅读次数:107
05-SV面向对象编程基础
1、测试平台的构建 发生器(generator):创建事务并且将它们传给下一级 驱动器(drive):与设计进行会话 监视器(monitor):捕获设计返回的事务 计分板(scoreboard):将捕获的结果跟预期的结果进行对比 测试平台应该分成若干个块(block),然后定义它们相互之间如何通信。 ...
分类:其他好文   时间:2020-02-06 18:18:26    阅读次数:92
JMeter基础
Jmeter工具的主要主件,包含4个部分: (1)负载发生器:用于产生负载,通常以多线程或是多进程的方式模拟用户行为。 (2)用户运行器:通常是一个脚本运行引擎,用户运行器附加在线程或进程上,根据脚本要求模拟指定的用户行为。 (3)资源生成器:用于生成测试过程中服务器、负载机的资源数据。 (4)报表 ...
分类:其他好文   时间:2020-01-23 17:04:58    阅读次数:77
java的三种随机数生成方式
随机数的产生在一些代码中很常用,也是我们必须要掌握的。而java中产生随机数的方法主要有三种: 第一种:new Random() 第二种:Math.random() 第三种:currentTimeMillis() 第一种需要借助java.util.Random类来产生一个随机数发生器,也是最常用的一 ...
分类:编程语言   时间:2020-01-23 09:34:06    阅读次数:82
线圈式电磁炮1----原理介绍
线圈式电磁炮 电磁炮这一未来的军事武器,本就是一件小众的事情。于我而言,对于电磁炮技术有些许了解也是在2019年电子设计大赛之时。在这之前,关于它的信息全部来自于新闻报道,对于它的认识也就是一个模糊的印象。 在2019年电子设计大赛之时,查阅了大量的期刊文献,从见到了电磁炮发展的历史、演变的方向。也 ...
分类:其他好文   时间:2020-01-17 22:59:32    阅读次数:587
java的三种随机数生成方式
随机数的产生在一些代码中很常用,也是我们必须要掌握的。而java中产生随机数的方法主要有三种: 第一种:new Random() 第二种:Math.random() 第三种:currentTimeMillis() 第一种需要借助java.util.Random类来产生一个随机数发生器,也是最常用的一 ...
分类:编程语言   时间:2020-01-01 23:41:56    阅读次数:99
用VHDL设计正弦信号发生器
正弦信号发生器的结构由3部分组成: 1、计数器或地址信号发生器,要根据ROM大小来确定地址发生器宽度。(其实验选择6位地址信号发生器给ROM) 2、正弦信号数据存储ROM(地址宽度6位,数据宽度8位),包含64个字的完整正弦波数据(1个完整周期) 3、8位D/A模块(采用TLC5602超高频数模转换 ...
分类:其他好文   时间:2019-12-02 19:07:58    阅读次数:356
用VHDL设计信号发生器
信号发生器要求: 信号发生器程序: library ieee;use ieee.std_logic_1164.all;entity signal_gen1 isport(clk:in bit;wave:out bit);end signal_gen1;architecture bhv of sign ...
分类:其他好文   时间:2019-12-01 18:54:43    阅读次数:223
330条   上一页 1 2 3 4 5 ... 33 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!