码迷,mamicode.com
首页 >  
搜索关键字:timescale    ( 64个结果
TimescaleDB 简单试用
TimescaleDB 是一个对于pg进行了改造的时序数据库 安装测试使用docker 安装&&运行 docker run -d --name timescaledb -p 5432:5432 timescale/timescaledb or 集成postgis docker run -d --na ...
分类:数据库   时间:2018-06-24 00:45:56    阅读次数:1200
自制进度条在python3下PyCharm中运行或在控制台按照目录运行
import timescale = 50print("执行开始".center(scale//2,"-"))start = time.perf_counter()for i in range(scale + 1): a = '*' * i b = '.' * (scale - i) c = (i/ ...
分类:编程语言   时间:2018-04-06 12:20:53    阅读次数:659
《UVM实战》代码示例
首先是top_tb: `timescale 1ns/1ps`include "uvm_macros.svh" import uvm_pkg::*;`include "my_if.sv"`include "my_transaction.sv"`include "my_sequencer.sv"`inc ...
分类:其他好文   时间:2018-03-10 00:15:31    阅读次数:1953
verilog语法结构
数据流描述方式: 用数据流描述对一个设计建模的最基本方式是连续赋值语句。连续赋值语法assign [delay] LHS_net = RHS_ expression 'timescale 1ns/1ns module Decoder2_4(A,B,EN,Z); input A,B,EN; outpu ...
分类:其他好文   时间:2018-01-16 13:51:34    阅读次数:180
Verilog MIPS32 CPU(九)-- 顶层文件
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 04... ...
分类:其他好文   时间:2017-11-05 14:28:51    阅读次数:329
timescale 时间尺度
1 `timescale为模块指定参考时间单位 `timescale<reference_time_unit>/<time_precision> 2 module endmoudule 为模块声明,中间不能插入其他模块声明 从模板创建对象的过程叫实例化instantiation 创建的对象叫inst ...
分类:其他好文   时间:2017-08-26 21:25:56    阅读次数:140
Unity3D - 动作动画忽略timeScale
近期在调战斗时的动画与特效。Unity3D对加/减速提供了Time.timeScale支持。可是,timeScale提供的是全局的时间缩放,而我们有些动作或动画是不希望被timeScale加减速的。比方,《刀塔传奇》中播放大招时。除了大招特效,全部别的动作/动画都暂停。 以下各自是Animation ...
分类:编程语言   时间:2017-07-13 20:29:55    阅读次数:332
Unity Time.timeScale
测试代码 : 测试截图: 场景视图中 ,左上角顶部的值是 Time.timeScale 的值 ...
分类:编程语言   时间:2017-07-09 20:48:40    阅读次数:265
DOTween中的Time.Scale
因为在做游戏暂停的时候通常会使用Time.Scale = 0 ,可是暂停的时候UI如果需要继续有动画怎么办呢?在DoTween中只需要设置 tweener.SetUpdate(true); 即可。意思就是这个Tween是忽略TimeScale,如果不写的话 tweener.SetUpdate 是 f ...
分类:其他好文   时间:2017-05-08 21:54:35    阅读次数:214
异步FIFO实现
1 //------------------------------------------- 2 // async FIFO 3 //----------------------------------------------- 4 5 `timescale 1ns/100ps 6 7 modul... ...
分类:其他好文   时间:2017-05-06 13:13:22    阅读次数:187
64条   上一页 1 2 3 4 5 ... 7 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!