码迷,mamicode.com
首页 >  
搜索关键字:systemverilog    ( 70个结果
2.2.2 加入factory机制
上一节给出了一个只有driver、使用UVM搭建的验证平台。严格来说这根本就不算是UVM验证平台,因为UVM的特性几乎一点都没有用到。像上节中my_driver的实例化及drv.main_phase的显式调用,即使不使用UVM,只使用简单的SystemVerilog也可以完成。本节将会为读者展示在初 ...
分类:其他好文   时间:2017-05-07 23:03:06    阅读次数:175
systemverilog语法
assertion相关的 |->和 |=>的区别: sequence_expr |-> property_expr : the end of sequence_expr is the start of property_expr. sequence_expr |=> property_expr : ...
分类:其他好文   时间:2016-10-10 16:24:28    阅读次数:152
systemverilog interface
普通的模块使用法:注意我们这里只实现了部分功能。。。。不是完全的读写模块。。。。 module mem_core( input logic wen, input logic ren, output logic mrdy=1, input logic [7:0] addr, input logic [ ...
分类:其他好文   时间:2016-09-07 19:21:31    阅读次数:167
SystemVerilog Testbench学习总结(Lab2~3)
1、对于信号几种赋值方式的区别: 2、随机数方法和函数 $urandom_range() 语法:$urandom_range(int unsigned maxval,int unsigned minval = 0); 功能:返回一个在maxval和minval之间的无符号整数 Example: $u ...
分类:其他好文   时间:2016-08-09 13:35:58    阅读次数:1031
SystemVerilog Testbench学习总结(Lab1)
1、ntb_template -t router router.v 执行该命令会生成3个文件(命令中router.v是dut) a、router.if.vrh,包含信号端口的方向(相对于dut)、位宽,可将此信号加上类型(logic或者bit),去掉方向,作为interface中的信号声明。将此信号 ...
分类:其他好文   时间:2016-08-08 00:59:12    阅读次数:476
paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之fsm summary
主要是1.不要用1段式写FSM 2.不要用状态编码写one-hot FSM ,要用索引编码写one-hot FSM。 ...
分类:系统相关   时间:2016-05-09 09:54:53    阅读次数:170
paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之enhanced coding styles
1.ANSI style 的代码比较紧凑。 下面规范推荐,比较好。 下面是带有parameter的module header的完整规范 一般1bit ,大家都是wire signal1 = gen_signal1_logic; 这种写法。似乎也不是直接assign signal1=gen_signa ...
分类:系统相关   时间:2016-05-09 09:40:55    阅读次数:220
paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之output encoded style with registered outputs(Good style)
把输出跟状态编码结合起来,即使可以省面积又是寄存器输出。但是没有讲解如何实现这种高效的编码。 ...
分类:Web程序   时间:2016-05-08 18:12:12    阅读次数:244
paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之onehot coding styles(encoded-parameter style with registered outputs不推荐但是经常有人写这样的代码)
这样写法,不利与综合,case语句中比较也是full-vector比较。 ...
分类:Web程序   时间:2016-05-08 14:59:58    阅读次数:176
paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之onehot coding styles(index-parameter style with registered outputs)
case语句中,对于state/next 矢量仅仅做了1-bit比较。 parameter 值不是表示FSM的状态编码,而是表示state/next变量的索引。 ...
分类:系统相关   时间:2016-05-08 14:58:23    阅读次数:387
70条   上一页 1 ... 3 4 5 6 7 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!